News Brief

Chinese Firm SMEE Set To Launch 28nm Chipmaking Machine By Year End In A Boost To Beijing's Semiconductor Self-Sufficiency Ambitions

Swarajya Staff

Aug 04, 2023, 05:17 PM | Updated Aug 05, 2023, 03:42 PM IST


An extreme ultraviolet lithography (EUVL) tool (Photo: Lawrence Livermore National Laboratory/Wikimedia Commons)
An extreme ultraviolet lithography (EUVL) tool (Photo: Lawrence Livermore National Laboratory/Wikimedia Commons)

China is set to launch its first home-grown 28-nanometre lithography machine by the end of this year, according to reports in state-owned media.

A lithography machine is a critical piece of equipment used in semiconductor manufacturing to create patterns on the silicon wafer.

The breakthrough will be a significant boost to Beijing’s quest for technological self-sufficiency in the entire chipmaking value chain amid US-led chip equipment export sanctions.

Shanghai Micro Electronics Equipment Group (SMEE) is likely to unveil a 28 nm immersion lithography machine, and the first domestically produced SSA/800-10W lithography machine is set to hit the market by the end of 2023.

Founded in 2002, SMEE is one of China's leading lithography machine makers and accounts for about 80 percent of the domestic market.

According to SMEE's website, it has developed machines capable of manufacturing chips at the 90 nm node standard - a technology suitable for producing low-end chips.

The lithography process involves transferring a pattern from a mask (also called a photomask or reticle) onto the wafer's surface using light. The lithography machine projects the pattern from the mask onto the wafer and defines the features of the integrated circuit. The accuracy and precision of the lithography machine directly impact the size and complexity of the chip's components.

Another notable Chinese firm, Advanced Micro Fabrication Equipment Company, produces etching machines for advanced chipmaking.

China however largely depends on advanced DUVs made by the Dutch semiconductor equipment maker ASML, which has already withheld its extreme ultraviolet (EUV) lithography machines from Chinese clients.

In July this year, the Netherlands government imposed further restrictions on shipments of ASML’s chip-making equipment to China. Prior to that, the Netherlands restricted some exports of extreme ultraviolet (EUV) lithography equipment essential for manufacturing advanced semiconductors.

ASML, which enjoys a near monopoly on advanced EUV lithography equipment (Japanese competitors like Canon have a minuscule market share), is a crucial supplier to chip manufacturing giants, including Intel, Samsung Electronics Co., and Taiwan Semiconductor Manufacturing Co (TSMC). It controls over 90% of the $17.1 billion global market for lithography equipment as of 2021.

ASML's EUV-based machines use light to etch ultra-small circuitry on chips, allowing packing more performance into the small slices of the silicon wafer. The machines can emit light with wavelengths one-fifteenth as small as the conventional chipmaking equipment, enabling them to etch finer circuits and thus squeeze more transistors onto a chip. The patterns link together as a single integrated circuit offering computing or memory functions.

Founded in 1984 as a joint venture between the Dutch companies Advanced Semiconductor Materials International (ASMI) and Philips, ASML began working on the EUV system in 1997.

China accounts for 15 percent of ASML's sales, and export restrictions could seriously impede the ambitions of Chinese chip manufacturers. For instance, ASML has sold billions of dollars' worth of equipment to Semiconductor Manufacturing International Corporation (SMIC), a leading Chinese semiconductor manufacturer.

To achieve semiconductor self-sufficiency, Beijing has long been pursuing an ambitious plan to build a world-class home-grown chip industry to wean itself off imports -- an effort that would need ASML's one-of-a-kind EUV machines.

In July, Japan, home to chip tool makers Nikon and Tokyo Electron, also announced that it will restrict the export of 23 types of advanced chip-related equipment and material, including lithography systems, to China.

The export restrictions were viewed as attempts by U.S.-led chip alliances to maintain their strategic advantage in the chipmaking equipment industry.


Get Swarajya in your inbox.


Magazine


image
States